BOOK & TUTORIAL

BOOK

  • Seongbo Shim, Suhyeong Choi, and Youngsoo Shin, “Machine Learning for Mask Synthesis,” chapter 3, Machine Learning in VLSI Computer-Aided Design, Springer, 2019. PDFbook
  • Seongbo Shim and Youngsoo Shin, “Physical Design and Mask Synthesis for Directed Self-Assembly Lithography,” Springer, 2018. PDFbook
  • Jinwook Jung, Dongsoo Lee, and Youngsoo Shin, “Design and optimization of multiple-mesh clock network,” chapter 3, VLSI-SoC: Internet of Things Foundations, Springer, 2015. PDFbook
  • Youngsoo Shin, “Low-power circuits: from system-level perspective,” chapter 2, Energy-Aware System Design: Algorithms and Architectures, Springer, 2011.PDFbook

TUTORIAL

  • Seongbo Shim and Youngsoo Shin, “Directed self-assembly lithography (DSAL): mask synthesis and circuit design,” tutorial at Asia and South Pacific Design Automation Conference (ASP-DAC), Jan. 2016, Macao.
  • Youngsoo Shin, “Pulse Based Design and Optimization,” tutorial at Asia and South Pacific Design Automation Conference (ASP-DAC), Jan. 2013, Yokohama, Japan.
  • Youngsoo Shin, “Power-Aware Design in 28nm Generation and Beyond: Facts, Myths, and Misunderstandings,” short course at Symposium on VLSI Circuits, June 2012, Hawaii, USA.
  • Kaushik Roy and Youngsoo Shin, “Recent Advances in Low Leakage VLSI Design” tutorial at Asia and South Pacific Design Automation Conference (ASP-DAC), Jan. 2009, Yokohama, Japan.
  • Naehyuck Chang and Youngsoo Shin, “Software Design and Dynamic Power Management,” IEEE Technology Surveys on CAD Algorithms, Methods and Tools for Low-Power Circuits and Systems (edited by Enrico Macii), Jan. 2006.
  • Youngsoo Shin and Tohru Ishihara, “Software Design for Low-Power Embedded Systems,” tutorial at Summer Workshop on Embedded System Technologies (SWEST), July 2001, Japan.